7分频等占空比3分频比4VErilog程序如何写

时钟信号的占空比调整——Verilog

//首先規定一个时钟周期的长度 512 //当计数器计数到0时SCL_HIG即整个高电平的中点
//当计数器计数到127时,SCL_NEG即时钟的下降沿
//当计数器计数到255时SCL_LOW即时钟整个低電平的中点
//当计数器计数到382时,SCL_POS即时钟的上升沿
//结论:通过调整时钟上升沿下降沿,高电平中点低电平中点的位置,即可以调整整个時钟的占空比
}

我要回帖

更多关于 等占空比3分频 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信